News Release

Veeco selected by SEMATECH for additional $2.4M EUV lithography tool development project

International SEMATECH North generates $320M direct economic impact, 1,650 high-tech jobs in NY

Grant and Award Announcement

Albany NanoTech College of Nanoscale Science and Engineering

Albany, NY – SEMATECH, the world’s leading nanoelectronics consortium, and the College of Nanoscale Science and Engineering (CNSE) of the University at Albany, home to the New York State Center of Excellence in Nanoelectronics and Nanotechnology (CENN), announced today that International SEMATECH North (ISMTN) has selected Woodbury, NY-based Veeco Instruments Inc. (Nasdaq:VECO) for an additional $2.4 million project that will provide critical research and development necessary to enable extreme ultraviolet (EUV) lithography for advanced manufacturing.

Veeco, a leading provider of metrology and process equipment solutions for the nanoelectronics industry, will receive the funding from SEMATECH to further develop its Ion Beam Deposition Tool, which is part of ISMTN’s Mask Blank Development Center (MBDC), located at the CNSE CENN. Since SEMATECH North’s inception in 2002, Veeco has received nearly $19 million in funding for Ion Beam products and R&D programs.

Ion Beam Deposition tools are used in the fabrication of mask blanks – the base material for the stencil-like photomasks that are used to describe patterns on nanoelectronics wafers – and is essential for the deposition of ultra-thin layers of materials that are demanded in the EUV manufacturing process. Veeco’s Ion Beam Deposition products lead the industry in high film quality, featuring extremely low particulate deposition and precise control of optical properties for single or multi-layer processes. Both are critical for producing advanced EUV photomasks.

Since its location at the CNSE CENN site in 2002, ISMTN has generated a direct economic impact of $320 million and created over 1,650 high-tech jobs in New York, both on-site and through a network of more than 330 partner, supplier and collaborative companies spread across New York State – 228 of which (more than two-thirds) are located outside the Capital Region, including 36 in New York city, 39 in the Finger Lakes region, 27 in the Niagara Frontier, and 43 on Long Island.

SEMATECH President and CEO Michael R. Polcari said, “As SEMATECH pushes aggressively to ready extreme ultraviolet lithography for advanced manufacturing, our ability to work with the statewide infrastructure of nanoelectronics-focused, world-class companies throughout New York is critical to our success. This latest project with Veeco, in concert with the hundreds of New York companies we are working with, is serving to accelerate the research and development that is vital both to the future of EUV lithography and the global nanoelectronics industry.”

CNSE Vice President and Chief Administrative Officer Dr. Alain E. Kaloyeros said, “As a key anchor tenant of the New York State Center of Excellence in Nanoelectronics and Nanotechnology and CNSE, SEMATECH has worked hand in hand with CNSE to nurture and build a statewide network of hundreds of New York companies to advance cutting-edge high-tech research, development, and commercialization initiatives. In the process, the SEMATECH-CNSE partnership is building a nanotech-savvy culture and network of highly trained people and competitive companies that will play a critical role in attracting future high-tech jobs, investment and economic growth throughout New York State.”

Robert P. Oates, Executive Vice President, Veeco Process Equipment, commented, “Veeco’s NEXUSÒ LDD Ion Beam Deposition System continues to demonstrate the ability to provide not just low defect density deposition, but also the precise control required for critical work such as EUV photomask deposition. We are pleased to continue working on this important research with SEMATECH through its International SEMATECH North program at the New York State Center of Excellence in Nanoelectronics and Nanotechnology at Albany’s College of Nanoscale Science and Engineering. We are also grateful to Governor Spitzer and the State of New York for the significant investments in university research and high technology commercialization.”

Since 2002, ISMTN has also provided approximately $10 million to a number of universities in New York to fund research programs with high potential for significant outcomes in knowledge creation and dissemination, and to produce Ph.D. and M.S.-level scientists and researchers to staff the expanding nanoelectronics cluster in New York.

###

About SEMATECH. For 20 years, SEMATECH® (http://www.sematech.org) has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.

About CNSE. The UAlbany CNSE is the first college in the world dedicated to research, development, education, and deployment in the emerging disciplines of nanoscience, nanoengineering, nanobioscience, and nanoeconomics. In May 2006, it was ranked as the nation's number one college for nanotechnology and microtechnology in the Annual College Ranking by Small Times magazine. CNSE's Albany NanoTech complex is the most advanced research facility of its kind at any university in the world: a $3.5 billion, 450,000-square-foot complex that attracts corporate partners from around the world and offers students a one-of-a-kind academic experience. The UAlbany NanoCollege houses the only fully-integrated, 300mm wafer, computer chip pilot prototyping and demonstration line within 65,000 square feet of Class 1 capable cleanrooms. Over 1,600 scientists, researchers, engineers, students, and faculty work on site at CNSE's Albany NanoTech complex, including IBM, AMD, SONY, Toshiba, Qimonda, Honeywell, ASML, Applied Materials, Tokyo Electron, and Freescale. An expansion currently underway will increase the size of CNSE's Albany NanoTech complex to over 750,000 square feet, including over 80,000 square feet of Class 1 cleanroom space, to house over 2,000 scientists, researchers, engineers, students, and faculty by the end of 2008. For more information, visit www.cnse.albany.edu.

About Veeco. Veeco Instruments Inc. provides solutions for nanoscale applications in the worldwide semiconductor, data storage, HB-LED/wireless and scientific research markets. Our Metrology products are used to measure at the nanoscale and our Process Equipment tools help create nanoscale devices. Veeco’s manufacturing and engineering facilities are located in New York, New Jersey, California, Colorado, Arizona and Minnesota. Global sales and service offices are located throughout the United States, Europe, Japan and Asia Pacific. Additional information on Veeco can be found at http://www.veeco.com/.


Disclaimer: AAAS and EurekAlert! are not responsible for the accuracy of news releases posted to EurekAlert! by contributing institutions or for the use of any information through the EurekAlert system.